CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - shift register vhdl

搜索资源列表

  1. 时序逻辑:VHDL实例---移位寄存器

    1下载:
  2. 时序逻辑种类:VHDL实例---移位寄存器-sequential logic types : VHDL examples --- Shift Register
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:2175
    • 提供者:张洪
  1. shift_register.用Verilog实现的移位寄存器

    1下载:
  2. 用Verilog实现的移位寄存器,可以实现左移、右移等功能,Using Verilog implementation of the shift register, you can achieve the left, shifted to right and other functions
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-22
    • 文件大小:2967
    • 提供者:huhahuha
  1. vhdl.rar

    0下载:
  2. 74ls164 8位移位寄存器 串入并出,74ls164 8-bit shift register and a string into
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1049
    • 提供者:fankexing
  1. R

    0下载:
  2. 双向移位寄存器的原理设计程序,对于初学者将会有很大帮助,尤其在设计功能比较复杂的FPGA时,有些问题其实用这个就很简单-The principle of bi-directional shift register the design process, for beginners there will be a great help, especially in the design features of the FPGA more complex, there are some proble
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:2628
    • 提供者:lijq
  1. ShiftRegister

    0下载:
  2. Shift register verilog code
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-12
    • 文件大小:556
    • 提供者:selcuk
  1. project

    0下载:
  2. synthesizable code for shift register of user defined size
  3. 所属分类:Document

    • 发布日期:2017-04-14
    • 文件大小:2882
    • 提供者:krupal
  1. shift

    0下载:
  2. Simple shift register with testbench in vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:839
    • 提供者:Tukan
  1. shiftregister

    0下载:
  2. Shift Register. VHDL code and its testbench.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1193
    • 提供者:mehmet
  1. UniversalRegister

    0下载:
  2. 普通的缓冲器 这种设计是一个普通的缓冲器,可以做一个直接的缓冲器,也可以做一个双向的转移缓冲器,还可以做一个递增的计数器和递减计数器-Universal Register This design is a universal register which can be used as a straightforward storage register, a bi-directional shift register, an up counter and a down counter.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:953
    • 提供者:杜翔
  1. shift

    0下载:
  2. 用VHDL实现一个移位寄存器,是初学者需要掌握的一个简单的程序写法-Using VHDL realization of a shift register is available for beginners need a simple program written
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:289016
    • 提供者:波波
  1. mux_reg

    0下载:
  2. VHDL code for a multiplexer and a parallel/serial in parallel/serial out shift register
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:758
    • 提供者:Davood
  1. shift_register

    0下载:
  2. shift register it is shifte register for vhdl coding
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:201700
    • 提供者:han
  1. shifter

    0下载:
  2. vhdl,双向移位寄存器,实现置数,左移及右移操作-vhdl, bi-directional shift register to achieve set the number of left and right shift operation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:33041
    • 提供者:王晓虎
  1. shift_reg

    0下载:
  2. shift register. vhdl verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1105
    • 提供者:shezzzz
  1. sr12univ_a

    0下载:
  2. universal shift register vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:680
    • 提供者:ayhan
  1. shift16

    0下载:
  2. The data in the shift register in shift pulses can move or by bit right next moves left, data can be parallel input, parallel output, also can serial input, serial output, still can parallel input, output, serial input, serial, parallel output is fle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:277240
    • 提供者:张凯
  1. 8-bitinput-output-shift

    0下载:
  2. 8位串行输入,串行输出移位寄存器 VHDL-8-bit serial input, serial output shift register VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:659
    • 提供者:LT
  1. VHDL-test-code-8-bit-shift-register

    0下载:
  2. VHDL实验代码:8位移位寄存器,这是一个基于VHDL的8位寄存器,非常实用的一个小程序-VHDL test code: 8-bit shift register, which is a VHDL-based 8-bit registers, a very useful little program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:1004
    • 提供者:Johonson
  1. an-8-bit-left-shift-register

    1下载:
  2. 使用VHDL语言设计一个8 位左移移位寄存器。并给出了仿真波形。-Using VHDL to design an 8-bit left shift register. And simulation waveforms.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-25
    • 文件大小:2048
    • 提供者:clementkv
  1. shift-register-VHDL

    0下载:
  2. 移位寄存器的VHDL实现,可以用quaturs实现。-shift register based on VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:2929
    • 提供者:刘睿
« 12 3 4 5 6 »
搜珍网 www.dssz.com